Publications

Selected Journal Articles (since 2012)

  • [TC] “Providing Balanced Mapping for Multiple Applications in Many-Core Chip Multiprocessors,”  Di Zhu, Lizhong Chen, Siyu Yue, Timothy M. Pinkston, and Massoud Pedram in IEEE Transactions on Computers, Vol. 65, Issue 10, pp. 3122-3135, Oct. 2016.
  • [JPDC] “Simulation of NoC Power-Gating: Requirements, Optimizations, and the Agate Simulator,” Lizhong Chen, Di Zhu, Massoud Pedram, and Timothy M. Pinkston, in Journal of Parallel and Distributed Computing, Special Issue on Energy Efficient Multi-Core and Many-Core Systems, Part I, Elsevier Publishers, Vol. 95, pp. 69-78, September 2016.
  • [TECS] “PAIS: Parallelism-Aware Interconnect Scheduling in Multicores,” Yuho Jin and Timothy M. Pinkston, in ACM Transactions on Embedded Computing Systems, Special Issue on Design Challenges for Many-core Processors, Vol. 13, No. 3, pp. 108:1 – 108:21, March 2014.
  • [JPDC] “Efficient implementation of globally-aware network flow control,” Lizhong Chen, Ruisheng Wang, and Timothy M. Pinkston, in Journal of Parallel and Distributed Computing, Elsevier Publishers, Vol. 72, Issue 11, pp. 1412-1422, November 2012.
  • [TPDS] “Communication-Aware Globally-Coordinated On-Chip Networks,” Yuho Jin, Eun Jung Kim, and Timothy Mark Pinkston, in IEEE Transactions on Parallel and Distributed Systems (TPDS), Vol. 23, Issue 2, pp. 242-254, February 2012.

Selected Conference Articles (since 2012)

  • [HPCA] “Power Punch: Towards Non-blocking Power-gating of NoC Routers,” Lizhong Chen, Di Zhu, Massoud Pedram, and Timothy M. Pinkston, in Proceedings of 21st IEEE International Symposium on High-Performance Computer Architecture (HPCA), San Francisco, pp. 378-389, February 2015.
  • [DATE] “TAPP: Temperature-Aware Application Mapping for NoC-Based Many-Core Processors,” Di Zhu, Lizhong Chen, Timothy M. Pinkston and Massoud Pedram, in Proceedings of Design, Automation & Test in Europe Conference & Exhibition (DATE), pp. 1241-1244, Grenoble, France, March 2015.
  • [ISPLED]  “Smart Butterfly: Reducing Static Power Dissipation of Network-on-Chip with Core-State- Awareness,” Siyu Yue, Lizhong Chen, Di Zhu, Timothy M. Pinkston, and Massoud Pedram, in Proceedings of the IEEE/ACM International Symposium on Low Power Electronics and Design (ISPLED), La Jolla, CA, pp. 311-314, August 2014.
  • [IPDPS] “Balancing On-Chip Network Latency in Multi-Application Mapping for Chip- Multiprocessors,” Di Zhu, Lizhong Chen, Siyu Yue, Timothy M. Pinkston, and Massoud Pedram, in Proceedings of the 28th IEEE International Symposium on Parallel and Distributed Processing (IPDPS), Phoenix, pp. 872-881, May 2014.
  • [HPCA] “MP3: Minimizing Performance Penalty for Power-gating of Clos Network-on-Chip,” Lizhong Chen, Lihang Zhao, Ruisheng Wang, and Timothy M. Pinkston in Proceedings of the 20th IEEE International Symposium on High Performance Computer Architecture (HPCA), Orlando, 12 pages, February 2014.
  • [ICS] “Bubble Coloring: Avoiding Routing- and Protocol-induced Deadlocks with Minimal Virtual Channel Requirement,” Ruisheng Wang, Lizhong Chen, and Timothy Mark Pinkston, in Proceedings of the 27th ACM International Conference on Supercomputing (ICS), Eugene, OR, pp. 193-202, June 2013.
  • [IPDPS] “An Analytical Performance Model for Partitioning Off-Chip Memory Bandwidth,” Ruisheng Wang, Lizhong Chen, and Timothy Mark Pinkston, in Proceedings of the 27th IEEE International Parallel and Distributed Processing Symposium (IPDPS), Boston, pp. 166-176, May 2013.
  • [IPDPS] “RAIR: Interference Reduction in Regionalized Networks-on-Chip,” Lizhong Chen, Kai Hwang, and Timothy M. Pinkston, in Proceedings of the 27th IEEE International Symposium on Parallel and Distributed Processing (IPDPS), Boston, pp. 154-164, May 2013.
  • [HPCA] “Worm-Bubble Flow Control,” Lizhong Chen and Timothy M. Pinkston, in Proceedings of the 19th IEEE International Symposium on High Performance Computer Architecture (HPCA), Shenzhen, China, 12 pages, February 2013.
  • [MICRO] “NoRD: Node-Router Decoupling for Effective Power-gating of On-Chip Routers,” Lizhong Chen and Timothy M. Pinkston, in Proceedings of the 45th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO), Vancouver, BC, pp. 270-281, December 2012.